2016年7月27日 星期三

Verilog 編譯效率 解決方案 [SublimeText 一鍵編譯 Verilog 波型 Testbench]

Verilog 編譯效率 解決方案 [SublimeText 一鍵編譯 Verilog 波型 Testbench]




這兩天剛接觸Verilog語言,實在覺得編譯好麻煩呀
點著點著一大堆,如果要修改微小的地方需要不斷的編譯
那真的會覺得很費時間呢,大致google了一下找了其他
軟體用來編譯 Verilog 因為是指令的方式執行所以
也可以直接掛在SublomeText上一鍵執行
如此一來還真可以省下不少時間呢
只是目前還在測試,這個軟件是否足夠應付多數的使用
跟 Modelsim 比起來肯定沒這麼完整多功的
目前已知,沒辦法顯示陣列reg的波型
不曉得是軟體有缺陷還是需要特別設定

已經可以做到:
Source.v 或 Source_tb.v=>
編好→按快捷→波型軟體重整→新回饋。整個過程大概1秒
建議不要安裝在C:\,會因為權限的問題無法編譯
如果只有C:\ 請用管理員啟動,並由左上File開啟資料夾
或將sublimetext資料夾權限開放

懶人包安裝:

開啟→安裝。

SublimeText 使用方法:

  1. 執行後將資料夾直接拖曳加入
  2. 左邊點選程式碼兩下,可開啟編輯
  3. 上方 Tool → Build System → User_iVlog
  4. 按下 Ctrl+Shift+B 選擇適當的巨集

第一次啟動

Source_tb.v 使用 TB_Wave 開啟波行檔

編修時

Part1

如要編輯 Source_tb.v 使用 無附屬名字
如要編輯 Source.v 使用 Sou & Sou_tb

Part2

切到 GTK 程式 File→Relod 即可看到新的波型

導航:

編譯主要功能檔案


或是

編譯測試檔案

開啟波行檔

  • 注意必須先編譯過主程式
  • 這個步驟只需要執行一次

快速反饋

看你要動哪個檔案,直接按到前面方式編譯
編譯完成直接到這裡重載就可以了

Refrence:

檔名:

  1. 原始檔名為 Source.v
  2. Testbench 檔名為 Source_tb.v
  3. 波行檔名為 Source_tb.vcd

Testbench.v

  1. 開頭需引入 Source.v
    `include "Source.v"
    
  2. 程式碼需加入創建 vcd 檔的的代碼,以便於vvp創建
    initial begin
     $dumpfile("Source_tb.vcd");
     $dumpvars;
    end
    

巨集

無附屬字

功能:編譯目前檔案,並執行
適用:
  • Source.v
  • Source_tb.v

Sou & Sou_tb

功能:同時編譯 Source.v 與 Source_tb.v
適用:限定 Source.v
開發 Source.v 時,執行後直接
於 GTK 重整(File→Relod)可見新的回饋

TB_Wave

功能:開啟 GTK 波型檔圖形介面
適用:限定 Source_tb.v
開發 Source_tb.v 與 第一次開啟 GTK

沒有留言:

張貼留言